テスト

350b654d anonymous 2015-12-15 22:02
@markdown
```verilog
module register(input clk,input dat,output reg dat2);
    
    always @(posedge clk) begin
        dat2 <= dat;
    end

endmodule
```

Top of this page. | <<last 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41

limit: 15360KB

(テスト/4181/25.1MB)


Powered by shinGETsu.